Publications

    • CONFERENCE PAPERS

      Download
    • Hardware Acceleration on Gaussian Naive Bayes Machine Learning Algorithm

      Georgios Tzanos, Christoforos Kachris, Dimitrios Soudris. Published under the 8th International Conference on Modern Circuits and Systems Technologies (MOCAST). 13-15 May 2019

      Download
    • Evaluation of FPGA Partitioning Schemes for Time and Space Sharing of Heterogeneous Tasks

      Umar Ibrahim Minhas, Roger Woods, and Georgios Karakonstantis

      Queen's University Belfast

      ARC 2019

      Download
    • Modular FPGA Acceleration of Data Analytics in Heterogenous Computing

      Elias Koromilas, Christoforos Kachris, Francisco J. Ballesteros, Patricio Martinez, Ricardo Jimenez-Peris, Dimitrios Soudris. DATE 2019

      Download
    • GPU Provisioning: The 80 - 20 Rule

      Eleni Kanellou, Nikolaos Chrysos, Stelios Mavridis, Yannis Sfakianakis and Angelos Bilas

      Computer Science Department, University of Crete, Voutes Campus GR-70013

      15 October 2018, Heraklion, Greece

      Download
    • A novel framework for the Seamless integration of FPGA accelerators with Big Data analytics Frameworks in Heterogeneous data centers

      Ioannis Stamelos, Elias Koromilas, Christoforos Kachris and Dimitrios Soudris

      International Conference on High Performance Computing and Simulation

      16-20 July, 2018, Orleans, France

      Download
    • Acceleration of Image Classification with Caffe framework using FPGA

      Authors: Dimitrios Danopoulos, Christoforos Kachris, Dimitrios Soudris

      Published under International Conference on Modern Circuits and Systems Technologies (MOCAST) on Electronics and Communications 7-9 May 2018 Thessaloniki Greece

      Download
    • Seamless FPGA deployment over Spark in cloud computing: A use case on machine learning hardware acceleration

      Authors: Christoforos Kachris, Ioannis Stamelos, Elias Koromilas, Dimitrios Soudris

      Published under Applied Reconfigurable Computing. Architectures, Tools, and Applications: 14th International Symposium, ARC 2018, Santorini, Greece, May 2-4, 2018, Proceedings

      Download
    • Efficient Hardware Acceleration of Recommendation Engines: A Use Case on Collaborative Filtering

      Authors: Konstantinos Katsantonis, Christoforos Kachris, Dimitrios Soudris

      Published under Applied Reconfigurable Computing. Architectures, Tools, and Applications: 14th International Symposium, ARC 2018, Santorini, Greece, May 2-4, 2018, Proceedings.

      Download
    • Exploring Functional Acceleration of OpenCL on FPGAs and GPUs Through Platform-Independent Optimizations

      Umar Ibrahim Minhas, Roger Woods, and George Karakonstantis (Queens University Belfast). International Symposium on Applied Reconfigurable Computing, Satonrini, Greece (2-4 May, 2018). 

      Download
    • Algorithmic and memory optimizations on multiple application mapping onto FPGAs

      Harry Sidiropoulos, Ioannis Koutras, Dimitrios Soudris, Kostas Siozios. International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS) XVII, July 2017

      Download
    • VineTalk: Simplifying Software Access and Sharing of FPGAs in Datacenters

      S. Mavridis, M. Pavlidakis, C. Symeonidou, C. Kozanitis, N. Chrysos, A. Bilas, I. Stamoulias, C. Kachris, D. Soudris, VineTalk: Simplifying Software Access and Sharing of FPGAs in Datacenters, IEEE nternational Conference on Field-Programmable Logic and Applications, September, 2017. Ghent Belgium

      Download
    • Spynq: FPGA acceleration of Spark applications in a Pynq cluster (demo paper)

      C. Kachris, Elias Koromilas, Ioannia Stamelos, Dimitrios Soudris, FPGA acceleration of Spark applications in a Pynq cluster, IEEE nternational Conference on Field-Programmable Logic and Applications, September, 2017. Ghent Belgium

      Download
    • SPynq: Acceleration of Machine Learning Applications over Spark on Pynq

      Christoforos Kachris; Elias Koromilas; Ioannis Stamelos; Dimitrios Soudris

      Published in Conference proceedings of SAMOS 2017, 16-19 July 2017, Samos, Greece

      Download
    • Hardware Accelerators for Financial Applications in HDL and High Level Synthesis

      Ioannis Stamoulias; Christoforos Kachris; Dimitrios Soudris

      Published in Conference proceedings of SAMOS 2017, 16-19 July 2017, Samos, Greece

      Download
    • From Knights Corner to Landing: a Case Study Based on a Hodgkin-Huxley Neuron Simulator

      George Chatzikonstantis, Diego Jiménez, Esteban Meneses, Christos Strydis, Harry Sidiropoulos, and Dimitrios Soudris

      Workshop "Experiences on Intel Knights Landing at the one-year mark", ISC 2017 (22 June 2017 - Frankfurt)

      Download
    • Spark acceleration on FPGAs: A use case on machine learning in Pynq

      Elias Koromilas, Ioannis Stamelos, Christoforos Kachris, Dimitrios Soudris

      International Conference on Modern Circuits and Systems Technologies (MOCAST), Thessaloniki, Greece, May 2017

      Download
    • Accelerating Data Center Applications with Reconfigurable DataFlow Engines

      Sakil Barbhuiya, Yun Wu, Karen Murphy, Hans Vandierendonck, Georgios Karakonstantis, Dimitrios S. Nikolopoulos

      Proceedings of the Second International Workshop on Heterogeneous High Performance Reconfigurable Computing (H2RC’16) November 14, 2016; Salt Lake City, UT

      Download
    • "Performance and Energy evaluation of Spark applications on low-power SoCs"

      C. Kachris, I. Stamelos, D. Soudris

      IEEE International Symposium on Systems, Architectures, Modeling and Simulation (SAMOS'16), Samos, Greece, July 2016

      Download
    • “A Survey on Reconfigurable Accelerators for Cloud Computing”

      Christoforos Kachris, Institute of Communication and Computer Systems (ICCS/NTUA); Dimitrios Soudris, National Technical University of Athens (NTUA)

      International Conference on Field-Programmable Logic and Applications (FPL) 2016 (29 August – 2 September 2016, Lausanne, Switzerland)

      Download
    • "The VINEYARD approach: Versatile, Integrated, Accelerator-based, Heterogeneous Data Centres"

      Christoforos Kachris, Dimitrios Soudris, Georgi Gaydadjiev, Huy-Nam Nguyen, Dimitrios S. Nikolopoulos, Angelos Bilas, Neil Morgan, Christos Strydis, Christos Tsalidis, John Balafas, Ricardo Jimenez-Peris, and Alexandre Almeida. International Symposium on Applied Reconfigurable Computing (ARC 2016), March 22-24, 2016,  Rio de Janeiro, Brazil

      Download
    • "The VINEYARD project: Versatile Integrated Accelerator-based Heterogeneous Data Centers"

      Christoforos Kachris, Dimitrios Soudris, Georgi Gaydadjiev, Huy-Nam Nguyen, Dimitrios S. Nikolopoulos, Angelos Bilas, Neil Morgan, Christos Strydis, Vasilis Spitadakis, Dimitris Gardelis, Ricardo Jimenez-Peris, and Alexandre Almeida.

      International Conference on Modern Circuits and Systems Technologies (MOCAST), May 12-14, 2016, Thessaloniki, Greece

      Download
    • “First Impressions from Detailed Brain Model Simulations on a Xeon-Xeon Phi Node”

      G. Chatzikonstantis, D. Rodopoulos, S. Nomikou, C. Strydis, C. I. De Zeeuw and D. Soudris

      ACM International Conference on Computing Frontiers, May 16-18, 2016, Como, Italy

      Download
    • "Performance Analysis of Accelerated Biophysically-Meaningful Neuron Simulations"

      G. Smaragdos, G. Chatzikostantis, S. Nomikou, D. Rodopoulos, I. Sourdis, D. Soudris, C. I. De Zeeuw and C. Strydis. International Symposium on Performance Analysis of Systems and Software ISPASS 2016, April 2016 – Nominated for Best Paper Award; Uppsala Sweden

      Download
    • JOURNAL PAPERS

      Download
    • BrainFrame: A heterogeneous accelerator platform for neuron simulations

      G. Smaragdos , G. Chatzikonstantis, R. Kukreja, H. Sidiropoulos, D. Rodopoulos, I. Sourdis, Z. Al-Ars, C. Kachris, D. Soudris, C. I. De Zeeuw, C. Strydis

      Published in the Journal of Neural Engineering; February 2017.

      Download
    • Optimizing Extended Hodgkin-Huxley Neuron - Model Simulations for a Xeon/Xeon Phi Node

      G. Chatzikonstantis, D. Rodopoulos, C. Strydis, C. I. De Zeeuw, and D. Soudris

      Published in the IEEE/ACM TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS

      Download
    • FairGV: Fair and Fast GPU Virtualization

      Cheol-Ho Hong, Ivor Spence, and Dimitrios S. Nikolopoulos.

      Published in the journal IEEE Transactions on Parallel and Distributed Systems, Dec 2017

      Download
    • POSTERS IN CONFERENCES 

      Download
    • VINEYARD Poster for FPL 2018

      Facilitating Easier Access to FPGAs in the Heterogeneous Cloud Ecosystems

      Umar Ibrahim Minhas, Roger Woods and Georgios Karakonstantis

      FPL 2018

      Download
    • VINEYARD Poster for FPL 2017

      4-8 September 2017 - Ghent, Belgium

      Download
    • VINEYARD Poster for HiPEAC 2018 Conference

      22-24 Janeiro - Manchester, UK

      Download
    • VINEYARD Poster for ISC High Performance PhD Forum 2018

      24 June 2018 - Frankfurt, Germany 

      Download
    • OTHERS

      Download
    • Modular FPGA Acceleration of Data Analytics in Heterogenous Computing

      Book Chapters: Modular FPGA Acceleration of Data Analytics in Heterogenous Computing: Dimitrios Danopoulos, Christoforos Kachris, Dimitrios Soudris

      Heterogeneous Computing Architectures, Challenges and Visions, Francis Taylor (pending)

      Download
    • Hardware Accelerators in Data Centers

      Book: Hardware Accelerators in Data Centers Editors: Christoforos Kachris, Babak Falsafi, Dimitrios Soudris 

      https://www.springer.com/us/book/9783319927916

      Download